コヒレント特設ページはこちら

Business/Market 詳細

極紫外線リソグラフィ(EUVL)システム市場、2031年に771億ドル

July, 4, 2022, Portland--Allied Market Researchのレポート「極紫外リソグラフィ(EUVL)システム市場」によると、市場は2021年に80億ドル、2022-2031年にCAGR 25.1%成長で2031年に771億ドルに達する見込である。

EUVLシステムは、最先端の光リソグラフィ技術である。EUVリソグラフィは、13.5nm波長のソフトX線。今日のEUVスキャナで22nmハーフピッチの分解が可能である。システムのEUV光源は、プラズマを生成するハイパワーレーザ。これが、今度は真空チャンバ内で短波長光放出に役立つ。チャンバの装置は、多数の多層ミラーを使う。これが層間干渉により光を反射する。

EUVLシステム市場の成長は、たいていは、他のリソグラフィ技術に対するその技術的優位性によるものであり、これがマイクロエレクトロニクスデバイスの販売増と結びついている。さらに、エレクトロニクスデバイスのサイズ縮小の差し迫った要求が、市場の成長を後押しすると見られている。しかし、適切なフォトレジスト開発の複雑さ、完璧なマスク作製の難しさが、市場の主要な制限として働く。対照的に、IoTのトレンド拡大が、予測期間にEUVLシステム産業拡大に利益の出機会を提供すると期待されている。

EUVL市場分析によると、光源セグメントが2020年に最高の貢献者だった。コンシューマエレクトロニクス分野でEUVLシステムソリューションの採用が急増したためである。レーザ生成プラズマ(LPP)とガス放電セグメントを合わせると、2020年、市場シェアは約84.1%だった。コンシューマエレクトロニクスソリューションで次世代最先端のIoT技術採用急増が、EUVLシステム成長をもたらした。これによりEUVLシステム市場の成長が強化された。

COVID-19爆発は、主要な市場プレイヤへの影響が大きく、EUVLシステム市場トレンドに大きな影響を与えた。逆に、安価なEUVLシステムソリューション需要の増加が、パンデミック後の市場を牽引すると予測されている。しかし、政府による部分的、完全なロックダウン実施によりプロの労働者が利用できなくなっていることが、EUVLシステム市場の成長を制約している。対照的に、新興経済ではIoTソリューションの必要性が高まっており、これがEUVLシステム市場の規模を拡大すると予測されている。

国では、中国がEUVLシステムで大きなシェアを保持している。主要プレイヤが存在するからである。主要分野で、次世代最先端IoTソリューションやデバイスの採用が、同地域でEUVLシステム市場の成長を強化した。

レポートに含まれる主要プレイヤはASML、Nikon、Canon